VHDL

limbaj de programare

VHDL (abrevierea VHSIC HDL) este acronimul folosit pentru Very High Speed Integrated Circuit Hardware Description Language.

Este vorba despre un limbaj de descriere a hardware-ului (Hardware Description Language -HDL), destinat descrierii comportamentului și/sau arhitecturii unui "modul" electronic logic, cu alte cuvinte al unei funcțiuni logice combinatorii și/sau secvențiale. Alături de Verilog, este cel mai utilizat limbaj de proiectare a sistemelor electronice digitale. E una din uneltele principale pentru proiectarea circuitelor integrate moderne, aplicat cu succes în câmpul microprocesoarelor (DSP, acceleratoare grafice), în telecomunicații (TV, celulare), automobile (navigație, sisteme de control al stabilității) și altele.

Standardul, pentru VHDL, a apărut in 1987, denumit IEEE 1076 . Iar în 1993 iese o versiune actualizată.

Este utilizat în concepția asistată pe calculator (CAD din engleză, computer aided design) a circuitelor integrate (de exemplu ASIC) sau pentru configurarea FPGA-urilor.

Are o sintaxă asemănătoare cu cea a limbajului Ada.

Vezi și modificare

Legături externe modificare